CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - 8 LED

搜索资源列表

  1. zhinengxianshiping

    0下载:
  2. 实现了智能显示屏的设计。在TC下用C语言编写程序,利用TPC—1实验箱,用一台PC机通过8255A芯片,使8*8 LED二级管上动态显示“信息工程学院”的字样。并且附有详细的设计文档。-an intelligent display design. TC using the C language programming, the use of TPC-1 experimental box with a PC through the 8255 A chip, * 8 of 8 LED tube tw
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:62248
    • 提供者:刘龙
  1. 公交报站LED屏免费使用协议

    0下载:
  2. 为提高公交管理和服务水平,本公司向国内公交公司无偿提高可语音和文字同步报站的公交报站器一批免费使用,每套报站器含8字LED条屏一个,语音报站的同时可同步显示报站文字,文字动态显示清晰醒目亮度高,极大方便了乘客上下车,其报站效果远非普通语音报站能及,使公交服务水平上一个新台阶。
  3. 所属分类:商业计划书

  1. rs232

    1下载:
  2. 用上位机(PC)通过串行调试助手或是自己做的串口操作软件,发送16进制数,控制8个LED灯的各个的亮与灭。 想让哪个灯亮都可以通过发送数据来实现。目标就是用软件控制硬件!达到单片机实时控制第一步: -With the host computer (PC) via serial debugging aids, or make their own operating software serial port, sending 16 hex numbers to control 8 LED l
  3. 所属分类:software engineering

    • 发布日期:2015-05-05
    • 文件大小:3652
    • 提供者:无忧
  1. AVRLED

    0下载:
  2. 以ATmega16为核心设计的led点阵显示电路,两片4514作为编译码芯片,led作为显示器,可以显示字母、数字、汉字及符号,电路设计为8片8*8led串联显示,并可进行扩展以一次性显示更多内容,软件设计中程序中有的字模都可以通过led显示,字模取模是通过专用软件得到的。-To ATmega16 as the core design led dot matrix display circuit, two 4514 as the encoding and decoding chips, led
  3. 所属分类:Project Design

    • 发布日期:2017-04-06
    • 文件大小:112992
    • 提供者:阿斯顿
  1. Running_Text_ATmega8

    0下载:
  2. Scrolling text on 8 Led matrix 5x7. rolling from left to right
  3. 所属分类:Project Design

    • 发布日期:2017-04-05
    • 文件大小:117406
    • 提供者:astina
  1. led

    0下载:
  2. LED显示实验:主要掌握单片机的基本VO操作,主要是指如何操作端O。其中含有将操作端O做为8位寄存器寻址方式的操作和按位寻址的操作方式,另外还包含有软件延时:预顶义,存贮单元硬件描术表的建立。 -LED display experiment: the main master the basic VO MCU operation, mainly refers to how end of O. O which contains the operating side as the 8-bit reg
  3. 所属分类:File Formats

    • 发布日期:2017-03-30
    • 文件大小:2930
    • 提供者:
  1. led

    0下载:
  2. 在proteus 下可仿真8位LED的.没有问题.-simulate eight leds in proteus
  3. 所属分类:software engineering

    • 发布日期:2017-04-02
    • 文件大小:84921
    • 提供者:yezi
  1. led-dianzhendongtai

    0下载:
  2. 该文介绍了最简单的 8 8LED 点阵显示模块的显示原理 并通过单片机来实现对显示电路的控制 进一步分析了电路的设计 和程序的设计方法 采用了 Proteus 仿真软件进行仿真 调试 最终完成了一个完整的电路设计和制作-This paper describes the most simple 8 8LED dot matrix display module shows the principle and through the chip to achieve the display cont
  3. 所属分类:software engineering

    • 发布日期:2017-04-06
    • 文件大小:444360
    • 提供者:yanlong
  1. 28-LED-Clock-Timer-Circuit-Schema-With-74HCT

    0下载:
  2. 28 LED Clock Timer Circuit Schema With 74HCT This is a programmable clock timer circuit that uses individual LEDs to indicate hours and minutes. 12 LEDs can be arranged in a circle to represent the 12 hours of a clock face and an additional 12 L
  3. 所属分类:Project Design

    • 发布日期:2017-04-02
    • 文件大小:8317
    • 提供者:AliOsX
  1. USB_HID-class

    0下载:
  2. Program writing for PIC 18f4550. Control the 8 LED from CPU using HID interface.
  3. 所属分类:Project Design

    • 发布日期:2017-12-08
    • 文件大小:3097
    • 提供者:Chuduc
  1. sw-8

    0下载:
  2. Control led with 8 variatif switch in avr
  3. 所属分类:Software Testing

    • 发布日期:2017-11-27
    • 文件大小:62058
    • 提供者:danghid
  1. display-clock

    0下载:
  2. 用89C52单片机自带定时器和8为LED显示的可调时钟。-89C52 microcontroller comes with adjustable timer and 8 LED display clock.
  3. 所属分类:software engineering

    • 发布日期:2017-11-15
    • 文件大小:987
    • 提供者:杨俊
  1. The-right-shift-water-LED

    0下载:
  2. 通过C语言实现右移运算流水点亮P1口8位LED-The water lit P1 port 8 LED by C language right shift
  3. 所属分类:software engineering

    • 发布日期:2017-11-07
    • 文件大小:7173
    • 提供者:周全
  1. prj1

    0下载:
  2. LED Flasher with External oscillator and 8 led that connect to PORTD and two Capacitor,Chip type : ATmega16 Clock frequency : 4.000000 MHz-LED Flasher with External oscillator and 8 led that connect to PORTD and two Capacitor,Chip type : ATmega16
  3. 所属分类:Project Design

    • 发布日期:2017-11-11
    • 文件大小:23418
    • 提供者:parva
  1. second

    0下载:
  2. Proteus虚拟仿真功能概述1.2 Proteus ISIS的...LED点阵屏模仿电梯运行的楼层显示题目28 控制P1口的8只LED每0.5s闪亮1次题目29 利用T1控制蜂鸣器发出1kHz的音频-Proteus Virtual Simulation Functional Overview 1.2 Proteus ISIS' s ... LED dot matrix screen mimic operation of the elevator floor display title 2
  3. 所属分类:Project Design

    • 发布日期:2017-11-05
    • 文件大小:206476
    • 提供者:江北
  1. 8-lights-cycle-pattern

    0下载:
  2. 单片机8盏灯的循环花样,利用单片机的延时和C语言的数组实现LED灯的循环-Microcontroller 8 light cycle pattern, using SCM delay and the C language array of LED lights cycle
  3. 所属分类:software engineering

    • 发布日期:2017-04-05
    • 文件大小:38047
    • 提供者:御风
  1. 4.15-led

    0下载:
  2. The STM8L15xxx devices are members of the STM8L Ultralow power 8-bit family. They are referred to as medium-density devices in the STM8L15xxx reference manual (RM0031) and in the STM8L Flash programming manual (PM0054). They provide the following
  3. 所属分类:Project Design

    • 发布日期:2017-04-05
    • 文件大小:274396
    • 提供者:小鱼
  1. 8*8led点阵跑马灯

    0下载:
  2. "8*8led点阵跑马灯,c程序源文件,适用于51单片机
  3. 所属分类:文档资料

  1. STM-8-LED

    0下载:
  2. 有用的STM8源代码,供大家学习参考,对学习STM8的人很有帮助-Useful STM8 source code for all to study reference
  3. 所属分类:software engineering

    • 发布日期:2017-03-29
    • 文件大小:52764
    • 提供者:chlj
  1. LED-Matrix

    0下载:
  2. * This Folder contains different configuration of LED Matrices Programed using Codevision Software (C language) and Simulated using proteus 7.8 Software. * All source files are included
  3. 所属分类:Software Testing

    • 发布日期:2017-04-23
    • 文件大小:207150
    • 提供者:modestalmahdy
« 12 3 4 »
搜珍网 www.dssz.com